Publications and Talks

Publications [in reverse chronological order]

2024

Chan, A., Wheeldon, A., Shafik, R. and Yakovlev, A. Design of Event-driven Tsetlin Machines using safe Petri nets, Petri Nets, accepted, Geneva, Switzerland, 2024.

Rahman, T., Mao, G., Maheshwari, S., Shafik, R. and Yakovlev, A.. MATADOR: Automated System-on-Chip Tsetlin Machine Design Generation for Edge Applications, Design Automation and Test in Europe (DATE), accepted, Valencia, Spain, 2024.

2023

O. Tarasyuk, , R. Shafik, A. Yakovlev, O.-C. Granmo et al, Logic-based Machine learning with reproducible decision models using the Tsetlin Machine, 12th IEEE International conference on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications 7-9 September 2023, Dortmund University of Applied Sciences and Arts.

Tunheim, S.A., Jiao, L., Shafik, R., Yakovlev, A. and Granmo, O-C. Convolutional Tsetlin Machine-based Training and Inference Accelerator for 2-D Pattern Classification. Microprocessors and Microsystems, Volume 103, 104949, November 2023. [online: https://tinyurl.com/bdh87p8u]

Yu, S., Xia, F., Shafik, R., Balsamo, D. and Yakovlev, A. Approximate digital-in analog-out multiplier with asymmetric nonvolatility and low energy consumption. Integration, Volume 93, 102045, November 2023. [online: https://tinyurl.com/55r7we84]

Sidharth Maheshwari, Tousif Rahman, Adrian Wheeldon, Rishad Shafik, Alex Yakovlev and Fei Xia, Introducing TRIM Automata for Tsetlin Machines, 2nd IEEE Computer Society International Symposium on the Tsetlin Machine (ISTM2023), Newcastle, UK.

Bimal Bhattarai, Ole-Christoffer Granmo, Lei Jiao, Per-Arne Andersen, Svein Anders Tunheim, Rishad Shafik and Alex Yakovlev, Contracting Tsetlin Machine with Absorbing Automata, 2nd IEEE Computer Society International Symposium on the Tsetlin Machine (ISTM2023), Newcastle, UK.

Tousif Rahman, Gang Mao, Sidharth Maheshwari, Komal Krishnamurthy, Rishad Shafik and Alex Yakovlev, Parallel Symbiotic Random Number Generator for Training Tsetlin Machines on FPGA, 2nd IEEE Computer Society International Symposium on the Tsetlin Machine (ISTM2023), Newcastle, UK.

Prajwal Kumar Sahu, Srinivas Boppu, Rishad Shafik, Svein Anders Tunheim, Ole-Christoffer Granmo and Linga Reddy Cenkeramaddi, Enhancing Inference Performance through Include only Literal Incorporation in Tsetlin Machine, 2nd IEEE Computer Society International Symposium on the Tsetlin Machine (ISTM2023), Newcastle, UK.

Jesse Ojuwu, Sidharth Maheshwari, Rishad Shafik, Alex Yakovlev and Mohamed Mamlouk, AI-Driven Battery State-of-Charge Estimation using Electrochemical Impedance Spectroscopy, 2nd IEEE Computer Society International Symposium on the Tsetlin Machine (ISTM2023), Newcastle, UK.

Ghazal, O., Singh, S., Rahman, T., Yu, S., Zheng, Y., Balsamo, D., Patkar, S., Merchant, F., Xia, F., Yakovlev, A. and Shafik, R. IMBUE: In-Memory Boolean-to-CUrrent Inference ArchitecturE for Tsetlin Machines. 2023 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), Austria. [pre-print] arXiv preprint arXiv:2305.12914, 2023.

Shafik, R., Rahman, T., Wheeldon, A., Granmo, O. C., & Yakovlev, A. Energy-frugal and Interpretable AI Hardware Design using Learning Automata. arXiv preprint arXiv:2305.11928, 2023.

Maheshwari, S., Rahman, T., Shafik, R., Yakovlev, A., Rafiev, A., Liao, J. and Granmo, O.-C. REDRESS: Generating Compressed Models for
Edge Inference Using Tsetlin Machines, IEEE Transactions on Pattern Analysis and Machine Intelligence (TPAMI), (accepted), 2023.

C. K., Sivasubramani, S., Shafik, R. and Acharyya, A. Nano-Magnetic Logic based Architecture Design Methodology for the Tsetlin Machine for Energy Efficient Applications, 21st IEEE Interregional NEWCAS Conference (NEWCAS) , Edinburgh, UK, 2023.

Singh, S., Awf, O., Jha, C. K, Rana, V., Drechsler, R., Shafik, R. Yakovlev, A., Patkar, S. and Merchant, F. (2023). Finite State Automata Design using 1T1R ReRAM Crossbar. 21st IEEE Interregional NEWCAS Conference (NEWCAS) , Edinburgh, UK, 2023.

Krishnamurthy, K., Awf, O., Lan, T., Shafik, R., & Yakovlev, A. (2023). Variable Duty Cycle Pulse Generation for Low Complexity Randomization in Machine Learning. The 21st IEEE Interregional NEWCAS Conference (NEWCAS) , Edinburgh, UK, 2023.

Awf, O., Lan, T., Gang, M., Shafik, R., & Yakovlev, A. (2023). Asynchronous Control for Tsetlin Machine with Binary Memristor-Transistor Array. IEEE International Symposium on Circuits and Systems (ISCAS), CA, USA, 2023.

Mileiko, S., Cetinkaya, O., Shafik, R., & Balsamo, D. (2023). Stateful Energy Management for Multi-Source Energy Harvesting Transient Computing Systems. IEEE/ACM Design Automation and Test in Europe (DATE), accepted, Belgium, April, 2023.

Mileiko, S., Cetinkaya, O., Mackie, D., Shafik, R., & Balsamo, D. (2023). A TEG-based Non-intrusive Ultrasonic System for Autonomous Water Flow Rate Measurement. IEEE Transactions on Sustainable Computing, (in press), pp.1-11, 2023.

2022

Bakar, A., Rahman, T., Shafik, R., Kawsar, F. and Montanari, A. Adaptive Intelligence for Batteryless Sensors Using Software-Accelerated Tsetlin Machines. ACM Conference on Embedded Networked Sensor Systems (SenSys 2022), Boston, USA, 2022. [accepted]

Yu, S., Bunnam, T., Triamlumlerd, S., Pracha, M., Xia, F., Shafik, R. and Yakovlev, A. Energy-efficient neural network design using memristive MAC unit. Frontiers in Electronics, 2022. [online: https://tinyurl.com/3562er9c]

Mao, G., Yakovlev, A., Xia, F., Lan, T., Yu, S. and Shafik, R. Automated Synthesis of Asynchronous Tsetlin Machines on FPGA. IEEE International Conference on Electronics Circuits and Systems (ICECS), Glasgow, UK, 2022. [Accepted]

Mao, G., Yakovlev, A., Xia, F., Shafik, R. and Yu, S. Automated Mapping of Asynchronous Circuits on FPGA Under Timing Constraints. IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Aliathon Resort, Pafos, Cyprus, July 4-6, 2022.

Morris, J., Rafiev, A., Xia, F., Shafik, R., Yakovlev, A. and Brown, A. An Alternate Feedback Mechanism for Tsetlin Machines on Parallel Architectures. International Symposium on the Tsetlin Machine (ISTM), Grimstad, Norway, June 20-21 2022. [Accepted] [Best paper award – first runner up 🏆 ]

Rafiev, A., Morris, J., Xia, F., Shafik, R., Yakovlev, A., Granmo, O-C. and Brown, A. Visualization of Machine Learning Dynamics in Tsetlin Machines. International Symposium on the Tsetlin Machine (ISTM), Grimstad, Norway, June 20-21 2022. [Accepted]

Lan, T., Mao, G., Xia, F., Yu, S., Shafik, R. and Yakovlev, A. An Asynchronous Tsetlin Automaton Architecture with Integrated Non-volatile Memory. International Symposium on the Tsetlin Machine (ISTM), Grimstad, Norway, June 20-21 2022. [Accepted]

Shmarov, I., Docampo, P., Billam, T., Shafik, R. and Yakovlev, A. Fuzlearn: A Fuzzy Clusterization based Machine Learning using Learning Automata. International Symposium on the Tsetlin Machine (ISTM), Grimstad, Norway, June 20-21 2022. [Accepted]

Rahman, S., Maheshwari, S., Shafik, R., Yakovlev, A. and Das, S. MILEAGE: An Automated Optimal Clause Search Paradigm for Tsetlin Machines. International Symposium on the Tsetlin Machine (ISTM), Grimstad, Norway, June 20-21 2022. [Accepted]

Tunheim, S. A., Jiao, L., Shafik, R., Yakovlev, A., and Granmo, O-C. A Convolutional Tsetlin Machine-based Field Programmable Gate Array Accelerator for Image Classification. International Symposium on the Tsetlin Machine (ISTM), Grimstad, Norway, June 20-21 2022. [Accepted]

Rahman, S., Wheeldon, A., Shafik, R., Yakovlev, A., Lei, J., Granmo, O-C. and Das, S. Data Booleanization for Energy Efficient On-Chip Learning using Logic Driven AI. International Symposium on the Tsetlin Machine (ISTM), Grimstad, Norway, June 20-21 2022. [Accepted]

Gudur, V.Y., Maheshwari, S., Bhardwaj, S., Acharyya, A. and Shafik, R. Hardware-Algorithm Codesign for Fast and Energy Efficient Approximate String Matching on FPGA for Computational Biology. The Engineering in Medicine and Biology Conference (EMBC), Glasgow, UK, 2022. [Accepted]

Saba, N. E., Alcock, L., Elameer, M., Shafik, R. and Pantall, A. A shift in electromyographic power spectrum of leg muscles to higher frequencies during walking in older females: indication of altered motor unit recruitment?. Abstract in International Society of Posture & Gait Research (ISPGR), Montreal, Canada, 2022. [Accepted]

Bakar, A., Rahman, T., Montanari, A., Lei, J., Shafik, R. and Kawsar, F. Logic-based Intelligence for Batteryless Sensors, (accepted), HotMobile 2022. [preprint]

Lan, T., Xia, F., Mao, G., Yu, S., Shafik, R. and Yakovlev, A. An editable asynchronous control logic for successive approximation register ADCs, (accepted), ISCAS 2022.

Jenkus, D., Xia, F., Shafik, R. and Yakovlev, A., Runtime Energy Minimization of Distributed Many-Core Systems using Transfer Learning, Proc. of Design Automation and Test in Europe (DATE), 2022. [in press]

2021

Tousif Rahman, Rishad Shafik, Ole-Christoffer Granmo and Alex Yakovlev, Resilient Biomedical Systems Design Under Noise using Logic based Machine Learning, Frontiers in Control Engineering (Section: Adaptive, Robust and Fault Tolerant Control), (accepted), 2021. [abstract]

Ibrahim Haddadi, Issa Qiqieh, Rishad Shafik, Fei Xia, Muhammad Al-Hayanni and Alex Yakovlev, Run-time Configurable Approximate Multiplier using Significance-Driven Logic Compression, IEEE International Conference on Computer Design (ICCD-2021), (in press), Oct. 2021. [preprint]

Abeyrathna, K. Darshana, Ole-Christoffer Granmo, Rishad Shafik, Lei Jiao, Alex Yakovlev, Adrian Wheeldon, Jie Lei, and Morten Goodwin. “A Novel Multi-Step Finite-State Automaton for Arbitrarily Deterministic Tsetlin Machine Learning” In Expert Systems, (in press), 2021. DOI: 10.1111/exsy.12836

Adrian Wheeldon, Alex Yakovlev and Rishad Shafik, Self-timed Reinforcement Learning using Tsetlin Machine, 27th International Symposium on Asynchronous Circuits and Systems (ASYNC), (in press), 2021.

Dainius Jenkus, Fei Xia, Rishad Shafik, and Alex Yakovlev, QoS-Aware Power Minimization of Distributed Many-Core Servers using Transfer Q-Learning, Design, Automation and Test in Europe System-level Design Methods for Deep Learning on Heterogeneous Architectures (SLOHA) Workshop, (accepted), 2021. [preprint]

Jie Lei, Tousif Rahman, Rishad Shafik, Adrian Wheeldon, Alex Yakovlev, Ole-Christoffer Granmo, Fahim Kawsar and Akhil Mathur, Low-Power Audio Keyword Spotting using Tsetlin Machines, MDPI Journal of Low Power Electronics and Applications, 11(2), 2021 (preprint1) (preprint2)(paper):

Sidharth Maheshwari, Rishad Shafik, Alex Yakovlev, Ian Wilson, Venkateshwarlu Yellaswamy Gudur and Amit Acharyya, PLEDGER: Embedded Whole Genome Read Mapping using Algorithm-HW Co-design and Memory-aware Implementation, Design, Automation and Test in Europe (DATE), (accepted), 2021. [preprint]

Shengqi Yu, Rishad Shafik, Thanasin Bunnam, Kaiyun Chen and Alex Yakovlev, Optimized Multi-Memristor Model based Low Energy and Resilient Current-Mode Multiplier Design, Design, Automation and Test in Europe (DATE), (accepted), 2021. [preprint]

Adrian Wheeldon, Alex Yakovlev, Rishad Shafik and Jordan Morris, Low-Latency Asynchronous Logic Design for Inference at the Edge, Design, Automation and Test in Europe (DATE), (accepted), 2021. [preprint]

2020

Abeyrathna, K. Darshana, Ole-Christoffer Granmo, Rishad Shafik, Alex Yakovlev, Adrian Wheeldon, Jie Lei, and Morten Goodwin. “A Novel Multi-step Finite-State Automaton for Arbitrarily Deterministic Tsetlin Machine Learning.” In International Conference on Innovative Techniques and Applications of Artificial Intelligence, pp. 108-122. Springer, Cham, 2020. [Best student paper award 🏆 ]

Adrian Wheeldon, Alex Yakovlev, Rishad Shafik and Jordan Morris, Low-Latency Asynchronous Logic Design for Inference at the Edge, arXiv, 2020.

Ivan Shmarov, Pablo Docampo, Thomas Billam and Rishad Shafik, SPICE Modeling and Characterization of Filament Formation Perovskite Memristors, 27th IEEE International Conference on Electronics Circuits and Systems, (accepted), 2020.

Shengqi Yu, Rishad Shafik, Thanasin Bunnam, Kaiyun Chen and Alex Yakovlev, Self-Amplifying Current-Mode Multiplier Design Using a Multi-Memristor Crossbar Cell Structure, 27th IEEE International Conference on Electronics Circuits and Systems, (accepted), 2020.

Jie Lei, Adrian Wheeldon, Rishad Shafik, Alex Yakovlev and Ole-Christoffer Granmo, From Arithmetic to Logic Based AI: a Comparative Analysis of Neural Networks and Tsetlin Machine, 27th IEEE International Conference on Electronics Circuits and Systems, (accepted), 2020. [Best poster award 🏆]

Ali Aalsaud, Fei Xia, Ashur Rafiev, Rishad Shafik, Alexander Romanovsky and Alex Yakovlev, Low-Complexity Runtime Management of Concurrent Workloads for Energy-efficient Multi-core Systems, MDPI Journal of Low Power Electronics and Applications — Open Access Journal, (accepted), 2020.

Adrian Wheeldon, Rishad Shafik, Tousif Rahman, Jie Lei, Alex Yakovlev and Ole-Christoffer Granmo, Learning Automata based Energy-efficient AI Hardware Design for IoT Applications, Royal Society Philosophical Transactions A., (in presss), 2020. [PDF] [arxiv]

Rishad Shafik, Adrian Wheeldon and Alex Yakovlev, Explainability and Dependability Analysis of Learning Automata based AI Hardware, IEEE 26th International Symposium on On-Line Testing and Robust System Design (IOLTS), (in press), 2020.

Mohammed A. Noaman Al-hayanni, Ashur Rafiev, Fei Xia, Rishad Shafik, Alexander Romanovsky and Alex Yakovlev, PARMA: Parallelization-Aware Run-time Management for Energy-Efficient Many-Core Systems, IEEE Trans. on Computers, (accepted), 2020.

Mohammed A. Noaman Al-hayanni, Fei Xia, Ashur Rafiev, Alexander Romanovsky, Rishad Shafik and Alex Yakovlev, Amdahl’s Law in the Context of Heterogeneous Many-core Systems – A Survey, IET Computers and Digital Techniques (CDT), (accepted), 2020.

Venkateshwarlu Y. Gudur, Sidharth Maheshwari, Rishad Shafik and Amit Acharyya, Accelerated Filtering and in situ Verification for Energy-Optimized Genome Read Mapping, IEEE International Symposium on Circuits and Systems (ISCAS), 2020.

Sergey Mileiko, Thanasin Bunnam, Fei Xia, Rishad Shafik and Alex Yakovlev, Dynamics of Time-domain Power-elastic Circuits for Pervasive Machine Learning, IEEE International Symposium on Circuits and Systems (ISCAS), 2020.

Adrian Wheeldon, Rishad Shafik, Alex Yakovlev, Jonathan Edwards, Ibrahim Haddadi and Ole-Christoffer Granmo, Tsetlin Machine: A New Paradigm for Pervasive AI, SCONA Workshop at Design, Automation and Test in Europe, DATE, 2020.

Maheshwari, S., Shafik,S., Yakovlev, A., Wilson, I. and Acharyya, A. REPUTE: An OpenCL based Read Mapping Tool for Embedded Genomics, Design, Automation and Test in Europe (DATE), (accepted), 2020.

Yu, S., El-aal, A., Bunnam, T., Shafik, R., Xia, F., Yakovlev, A. and Balsamo, D. Current-Mode Carry-Free Multiplier Design using a Memristor-Transistor Crossbar Architecture, Design, Automation and Test in Europe (DATE), (accepted), 2020.

2019

Venkateshwarlu Yellaswamy Gudur, Sidharth Maheshwari, Rishad Shafik and Amit Acharyya, Hardware-Assisted Acceleration and Optimization of Algorithms in Computational Genomics, International Conference on Embedded Systems and VLSI Design (VLSID), Student Research Forum Bengaluru, India, 2019.

Shengqi Yu, Ahmed Soltan, Rishad Shafik, Thanasin Bunnam, Domenico Balsamo, Fei Xia, Alex Yakovlev, Current-Mode Carry-Free Multiplier Design using a Memristor-Transistor Crossbar Architecture, NCL-EEE-MICRO-TR-2019-216, Technical Report, µSystems Research Group, School of Engineering, Newcastle University, November 2019.

Panwar, M., Acharyya, A. and Shafik, R. Fully Closed Loop Bionic Pancreas using Advanced Insulin Basal-Bolus Advisor Tackling Postprandial Episodes and Nocturnal Hypoglycemia, Biomedical Journal, Elsevier (in review).

Srinivasan, S., Acharyya, A., Reddy, S. and Shafik, R. SFG Based Dynamic Design Library Approach for Faster Design Automation, IEEE Transactions on VLSI (in review).

Shafik, R. and Yakovlev, A., Royal Society Philosophical Transcations A. special theme issue on “Harmonizing Energy-Autonomous Computing and Intelligence”, 23 Dec. 2019.

Shafik, R. and Yakovlev, A., Harmonizing Energy-Autonomous Computing and Intelligence: An Editorial Introduction, Royal Society Philosophical Transcations A., to appear, Dec. 2019.

Mileiko, S., Bunnam, T., Xia, F., Shafik, R., Yakovlev, A. and Das, S. Neural Network Design for Energy-Autonomous AI Applications using Temporal Encoding. Royal Society Philosophical Transcations A., to appear, Dec. 2019. [arxiv]

Maheshwari, S., Shafik, R., Acharyya, A., Wilson, I. and Yakovlev, A., CORAL: Verification-aware OpenCL based Read Mapper for Heterogeneous Systems. IEEE Trans on Comp. Biol. & Bioinformatics, to appear, 2019. [arxiv]

Mileiko, S., Shafik, R., Yakovlev, A. and Edwards, J. A Pulse Width Modulation based Power-elastic and Robust Mixed-signal Perceptron Design. Intl. Conference on Design, Automation and Test in Europe (DATE’19), to appear, 2019. [arxiv]

2018

Rafiev, A., Al-Hayanni, M.A.N., Xia, F., Shafik, R. Romanovsky, A. and Yakovlev, A., Extending Multi-fraction Speedup Models to Normal Form Heterogeneity, Newcastle University Technical Report (NUTR), 2018, NCL-EEE-MICRO-TR-2018-211.

Qiqieh, I., Shafik, R., Tarawneh, G., Sokolov, D., Das, S. and Yakovlev, A. Significance-Driven Logic Compression for Energy-efficient Multiplier Design. IEEE Journal of Emerging Topics in Circuits and Systems, to appear. [Accepted]

Aalsaud, A., Rafiev, A., Xia, F., Shafik, R. and Yakovlev, A., Model-free Runtime Management for Concurrent Workloads on Many-Core Heterogeneous Systems, IEEE International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2018 (accepted).

Aalsaud, A., Alrudainy, H., Shafik, R., Xia, F. and Yakovlev, A., MEMS-Based Runtime Idle Energy Minimization for Bursty Workloads in Heterogeneous Many-Core Systems, IEEE International Symposium on Power and Timing Modeling, Optimization and Simulation (PATMOS), 2018 (accepted).

Shafik, R. and Yakovlev, A. From Power-efficient to Power-Driven Computing. IET Book Chapter: Many-Core Computing: Hardware and Software, Eds: Merrett, G.V. and Al-Hashimi, B.M., 2018.

Shafik, R., Yakovlev, A. and Das, S. Real-Power Computing. IEEE Transactions of Computers, to appear. [Accepted]

Rafiev, A., Al-Hayanni, M.A.H., Xia, F., Shafik, R., Romanovsky, A. and Yakovlev, A. Speedup and Power Scaling Models for Heterogeneous Many-Core Systems. IEEE Transactions on Multi-scale Computing, to appear. [Accepted]

2017

Burke, D., Jenkus, D. Qiqieh, I., Das, S., Shafik, R. and Yakovlev, A. Significance-Driven Adaptive Approximate Computing for Energy-Efficient Image Processing Applications, Embedded Systems Week (ESWEEK) Special Session on “Intelligent Approximate Computing”, Oct, 2017. [Accepted]

Alrudainy, H., Shafik, R., Mokhov, A. and Yakovlev, A. Lifetime Reliability Characterization of Nano/Micro-Electro-Mechanical Switches Used in Power Gating of Digital Integrated Circuits, In, IEEE International Symposium on Defect and Fault-Tolerance of VLSI and Nanotechnology Systems (DFT), Cambridge, 2017. [Accepted]

Qiqieh, I., Shafik, R., Tarawneh, G., Sokolov, D. and Yakovlev, A. Energy-Efficient Approximate Wallace Tree Multiplier using Significance-Driven Logic Compression , In, IEEE International Workshop on Signal Processing Systems (SiPS), Dallas, Texas, 2017. [Accepted]

Sabbavarapu, S., Basireddy, K., Acharyya, A. and Shafik, R. Faster Design Automation using Dynamic Libraries and Shannon Factor Graph, IEEE TVLSI (submitted).

Panwar, M., Acharyya, A. and Shafik, R. Fully Closed Loop Bionic Pancreas using Advanced Insulin Basal-Bolus Advisor Tackling Postprandial Episodes and Nocturnal Hypoglycemia, IEEE Access (submitted).

Al-Hayanni, M., Shafik, R., Rafiev, A., Xia, F. and Yakovlev, A. Speedup and Parallelization Models for Energy-Efficient Many-Core Systems Using Performance Counters, International Workshop on Optimization of Energy Efficient HPC & Distributed Systems (OPTIM 2017), The 2017 International Conference on High Performance Computing & Simulation (HPCS 2017), Genoa, Italy (accepted). [PDF]

Xia, F., Rafiev, A., Mokhov, A., Davis, J., Levine, J., Iliasov, A., Gensh, R., Al-Hayanni, M., Aalsaud, A., Yang, S., Shafik, S., Romanovsky, A. and Yakovlev, A. Voltage, throughput, power, reliability and multi-core scaling, IEEE Computer Magazine (accepted). [Technical Report]

Biswas, D., Balagopal, V., Shafik, S., Al-Hashimi, B.A. and Merrett, G.V. Machine Learning for Run-Time Energy Optimisation in Many-Core Systems, Hot Topic Session: ‘Biologically-inspired techniques for smart, secure and low power SoCs’ in Design Automation and Test in Europe (DATE, 2017. [PDF]

Qiqieh, I., Shafik, R., Tarawneh, G., Sokolov, D. and Yakovlev, A. Energy-Efficient Approximate Multiplier Design using Bit Significance-Driven Logic Compression, In, Design Automation and Test in Europe (DATE), EPFL, 2017. [PDF]

2016

Panwar, M., Acharyya, A., Shafik, R. and Biswas, D. K-Nearest Neighbor Based Methodology for Accurate Diagnosis of Diabetes Mellitus, In Sixth International Symposium on Embedded computing and system Design (ISED), India.

Shafik, R. Significance-driven Adaptive Approximate Computing Systems Design, ARM Technical Summit, 2016.

Aalsaud, A., Shafik, R., Fei, X., Rafiev, A. and Yakovlev, A. Power-Aware Performance Adaptation of Concurrent Applications in Heterogeneous Embedded Systems, International Symposium on Low Power Design (ISLPED), (in press), 2016. [LINK]

Burke, D., Shafik, R., and Yakovlev, A. Challenges and Opportunities in Research and Education of Heterogeneous Many-Core Applications, European Symposium on Microelectronics Education (EWME), 2016. [LINK]

Travers, M., Shafik, R., and Xia, F. Power-Aware Performance Optimisation for for Concurrent Many-Core Applications. International Conference on Application of Concurrency to System Design (ACSD), Poland, 2016. [PDF]

Al-Hayanni, M., Rafiev, A. Shafik, R., and Xia, F. Power and Energy Normalised Speedup Models for Heterogeneous Many-Core Computing. International Conference on Application of Concurrency to System Design (ACSD), Poland, 2016. [PRESENTATION: http://async.org.uk/presentations/ACSD_34.pdf] [PDF]

Al-Hayanni, M., Rafiev, A. Shafik, R., Xia, F. and Yakovlev, A. Extended Power and Energy Normalized Performance Models for Many-Core Systems. Newcastle University Technical Report (NCL-EEE-MICRO-TR-2016-198), Newcastle University, 2016. [PDF]

Burke, D., Shafik, R. and Yakovlev, A. Design and Adaptation Requirements for Big Data Applications: A Case Study of CCTV Systems. Annual Research Conference (ARC), Newcastle University, 2016. [Won best poster award]

2015

Yang, S., Shafik, R.A., Flynn, D., Khursheed, S., Merrett, G.V. and Al-Hashimi, B.M. Application-Specific Memory Protection Policies for Energy-efficient and Reliable Embedded Systems Design. 26th IEEE Symposium on Rapid System Prototyping (RSP), in conjunction with ESWEEK, 2015.[LINK]

Shafik, R.A., Yang, S., Das, A., Merrett, G.V. and Al-Hashimi, B.M. Learning transfer-based adaptive energy minimization in embedded systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2015. [LINK]

Yang, Sheng, Shafik, Rishad Ahmed, Merrett, Geoff V., Stott, Edward, Levine, Joshua, Davis, James and Al-Hashimi, Bashir (2015) Adaptive energy minimization of embedded heterogeneous system using regression-based learning. In, 25th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS 2015), Salvador, BR, 01 – 04 Sep 2015. 8pp. [LINK]

Shafik, R.A., Mathew, Jimson and Pradhan, Dhiraj K. (in press) A Unified Design Methodology for Secure Test and IP Core Protection. IEEE Transactions on Reliability, 2015. [LINK]

Gang, L., Mathew, Jimson, Shafik, Rishad A., Pradhan, Dhiraj K., Ottavi, M. and Pontarelli, S. Lifetime Reliability Analysis of Complementary Resistive Switches under Threshold and Doping Interface Speed Variations. In IEEE Transactions on Nanotechnology, Vol. 14, Issue: 1, pp. 130-139, 2015.

Shafik, Rishad Ahmed, Das, Anup K., Yang, S., Merrett, Geoff V. and Al-Hashimi, Bashir M. (2015) Thermal-aware Adaptive Energy Minimization of OpenMP Parallel Applications. In, Workshop on Designing with Uncertainty – Opportunities & Challenges in Conjunction with Design and Test in Europe (DATE) Conference, Grenoble, FR, Mar 2015. [LINK]

Shafik, Rishad Ahmed, Das, Anup K., Yang, S., Merrett, Geoff V. and Al-Hashimi, Bashir M. (2015) Adaptive Energy Minimization of OpenMP Parallel Applications on Many-Core Systems. In, PARMA-DITAM2015: 6th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures in Conjunction with 10th HiPEAC conference, Amsterdam, NL, 19 – 21 Jan 2015. [LINK]

Anup K Das, Rishad Ahmed Shafik, Geoff V Merrett, BM Hashimi, Akash Kumar and Bharadwaj Veeravalli (2015) Multinomial logistic regression-based workload noise classification and adaptive frequency scaling for energy minimization of embedded systems. In, DATE’15, Grenoble, FR, 19 – 21 Mar 2015. [Best paper award nomination ] [LINK]

2014

Mathew, Jimson, Shafik, Rishad A. and Pradhan, Dhiraj K. (eds.) (2014) Energy-Efficient Fault-Tolerant Systems, New York, US, Springer, 330pp. [LINK]

Das, Anup K., Shafik, Rishad Ahmed, Merrett, Geoff V., Al-Hashimi, Bashir M., Kumar, Akash and Veeravalli, Bharadwaj (2014) Reinforcement learning-based inter- and intra-application thermal optimization for lifetime improvement of multicore systems. In, DAC2014: Design Automation Conference, San Francisco, US, 01 – 05 Jun 2014.

Li, Gong, Mathew, Jimson, Shafik, Rishad Ahmed and Pradhan, Dhiraj K. (2014) Multinomial based memristor modelling methodology for simulations and analysis. International Journal of Electronics (In Press). [LINK]

Mathew, J., Jabir, A.S., Shafik, R.A. and Pradhan, D.K. (2014) Power efficient fault tolerant finite field multiplier. In, Jimson, Mathew, Shafik, Rishad and Pradhan, Dhiraj K. (eds.) Energy Efficient Fault Tolerant Systems. , Springer , 269-306. [LINK]

Shafik, R.A., Mathew, J. and Pradhan, D.K. (2014) Introduction to energy efficient fault tolerant systems. In, Jimson, Mathew, Shafik, Rishad A. and Pradhan, Dhiraj K. (eds.) Energy-Efficient Fault Tolerant-Systems. , Springer , 1-10. [LINK]

Shafik, Rishad Ahmed, Al-Hashimi, Bashir and Chakrabarty, Krishnendu (2014) System-level Design Methodology. In, Mathew, Jimson, Shafik, Rishad Ahmed and Pradhan, Dhiraj (eds.) Energy-Efficient Fault-Tolerant Systems. Philadelphia, US, Springer USA, 169-210. [LINK]

Shafik, Rishad Ahmed, Das, Anup K., Yang, Sheng, Merrett, Geoff V. and Al-Hashimi, Bashir (2014) Design considerations for reliable embedded systems. In, Swingler, Jonathan (ed.) Reliability Characterisation of Electrical and Electronic Systems. London, GB, Woodhead Publishing. (Woodhead Publishing Series in Electronic and Optical Materials 74). [LINK]

Sourdis, Ioannis, Strydis, Christos, Armato, Antonino, Bouganis, Christos-Savvas, Falsafi, Babak , Gaydadjiev, Georgi, Isaza, Sebastian, Malek, Alirad, Mariani, Riccardo, Pnevmatikatos, Dionisios N, Pradhan, Dhiraj K, Rauwerda, Gerard, Seepers, Robert, Shafik, Rishad Ahmed, Sunesen, Kim, Theodoropoulos, Dimitris, Tzilis, Stavros and Vavouras, Michail (2014) DeSyRe: on-demand adaptive and reconfigurable fault-tolerant SoCs. International Symposium on Applied Reconfigurable Computing (ARC) Elsevier. [LINK]

Sun, Luo, Mathew, Jimson, Shafik, Rishad A., Pradhan, D.K. and Li, Zhen (2014) A low power and robust carbon nanotube 6T SRAM design with metallic tolerance. In, Design Automation and Test in Europe (DATE), Dresden, DE, 24 – 28 Mar 2014. [LINK]

2013

Agarwal, A., Singh, A., Acharyya, A., Shafik, R.A. and Ahamed, S.R. (2013) Energy efficient and high-speed robust channel identification methodology to solve permutation indeterminacy in ICA for artifacts removal from ECG in remote healthcare. In, IEEE International Symposium on Electronic System Design (ISED), Singapore, SG, 12 – 13 Dec 2013. [LINK]

Badan, Mohammad Imran bin, Bhattacharjee, Subhasish, Shafik, Rishad A., Mathew, Jimson and Pradhan, Dhiraj K. (2013) Lifetime reliability aware checkpointing mechanism: modelling and analysis. In, IEEE International Symposium on Electronic System Design (ISED), Singapore, SG, 12 – 13 Dec 2013. [LINK]

Huang, Xiaoyu, Mathew, Jimson, Shafik, Rishad A., Bhattacharjee, Subhasis and Pradhan, Dhiraj K. (2013) A fast and effective DFT for test and diagnosis of power switches in SoCs. In, ACM/IEEE Conference on Design, Automation and Test in Europe , Grenoble, France, 18 – 22 Mar 2013. IEEE4pp, 1089-1092. (doi:10.7873/DATE.2013.229).

Reddy, B.K., Sabbavarapu, S., Gupta, K., Prabhat, R., Acharyya, A., Shafik, R.A. and Mathew, J. (2013) A novel and unified digital IC design and automation methodology with reduced NRE cost and time-to-market. In, IEEE International Symposium on Electronic System Design (ISED), Singapore, SG, 12 – 13 Dec 2013.

Sabbavarapu, S., Reddy, B.K., Prabhat, R., Gupta, K., Acharyya, A., Shafik, R.A. and Mathew, J. (2013) A novel physical synthesis methodology in the VLSI design automation by introducing dynamic library concept. In, IEEE International Symposium on Electronic System Design (ISED), Singapore, SG, 12 – 13 Dec 2013.

Shafik, Rishad Ahmed, Rauwerda, Gerard, Potman, Jordy, Sunesen, Kim, Pradhan, Dhiraj K., Mathew, Jimson and Sourdis, Ioannis (2013) Software modification aided transient error tolerance for embedded systems. In, 16th Euromicro Conference on Digital System Design (Euromicro DSD/SEAA 2013, Santander, Spain, 04 – 06 Sep 2013. 8pp.

Sourdis, I., Shafik, R.A., Strydis, C., Pnevmatikatos, D., Theodoropoulos, D., Pradhan, D.K. and Rauwerda, G. (2013) Towards on-demand system reliability: software implemented fault tolerance and testing. Invited Presented/Paper in European Test Symposium (ETS), Avignon, FR, 27 – 31 May 2013. , in-press.

Sourdis, Ioannis, Strydis, Christos, Armato, Antonino, Bouganis, Christos-Savvas, Falsafi, Babak , Gaydadjiev, Georgi, Isaza, Sebastian, Malek, Alirad, Mariani, Riccardo, Pnevmatikatos, Dionisios N, Pradhan, Dhiraj K, Rauwerda, Gerard, Seepers, Robert, Shafik, Rishad Ahmed, Sunesen, Kim, Theodoropoulos, Dimitris, Tzilis, Stavros and Vavouras, Michail (2013) DeSyRe: on-Demand System Reliability. Microprocessors and Microsystems, 37, (2013), 981-1001. (doi:10.1016/j.micpro.2013.08.008).

Sun, Luo, Mathew, Jimson, Shafik, Rishad A. and Pradhan, Dhiraj K. (2013) Low power and robust binary tree SRAM design for embedded systems. In, IEEE International Symposium on Electronic System Design (ISED), Singapore, SG, 12 – 13 Dec 2013.

Yang, Y., Mathew, J., Shafik, R.A. and Pradhan, D.K. (2013) Verilog-A based effective complementary resistive switch model for simulations and analysis. IEEE Embedded Systems Letters (In Press).

2012

Galadanci, Jamil, Shafik, Rishad Ahmed, Mathew, Jimson, Acharyya, Amit and Pradhan, Dhiraj K. (2012) A closed-loop control strategy for glucose control in artificial pancreas systems. In, ISED 2012: International Symposium on Electronic Systems Design, Kolkata, India, 19 – 22 Dec 2012. , 295-299. (doi:10.1109/ISED.2012.76).

Narayanan, V.K., Mathew, J., Shafik, R.A. and Pradhan, D.K., Mathew, J., Patra, P., Pradhan, D.K. and Kuttyamma, A.J. (eds.) (2012) Fault tolerant high performance Galios field arithmetic processor. Springer Lectures Notes in Computer Science, 305, (2012), 269-281. (doi:10.1007/978-3-642-32112-2_33).

Shafik, R.A., Al-Hashimi, B.M., Mathew, J., Pradhan, D.K. and Mohanty, S.P. (2012) RAEF: a power normalized system-level reliability analysis and estimation framework. In, IEEE Computer Society Annual Symposium on VLSI, Amherst, US, 19 – 21 Aug 2012.

Shafik, Rishad Ahmed, Al-Hashimi, Bashir M. and Reeve, Jeff S. (2012) System-level design optimization of reliable and low power multiprocessor system-on-chip. Microelectronics Reliability, 52, (8), 1735-1748. (doi:10.1016/j.microrel.2012.03.002).

Yeolekar, Pranav, Shafik, Rishad A, Mathew, Jimson, Pradhan, Dhiraj K and Mohanty, Saraju P (2012) STEP: a unified design methodology for secure test and IP core protection. In, GLSVLSI 2012, Salt Lake City, US, 03 – 04 May 2012. Association for Computing Machinery, 333-338. (doi:10.1145/2206781.2206862).

2011

Shafik, Rishad and Al-Hashimi, Bashir (2011) Reliability Analysis of On-Chip Communication Architectures: An MPEG-2 Video Decoder Case Study. Embedded Hardware Design (MICPRO), 35, (2), 285-296.

2010

Shafik, Rishad Ahmed (2010) Investigation into low power and reliable system-on-chip design. University of Southampton , School of Electronics and Computer Science , Doctoral Thesis , 219pp.

Shafik, Rishad Ahmed, Al-Hashimi, Bashir M. and Chakrabarty, Krish (2010) Soft Error-Aware Design Optimization of Low Power and Time-Constrained Embedded Systems. In, Design, Automation and Test in Europe (DATE), Dresden, Germany,

2009

Shafik, Rishad Ahmed and Al-Hashimi, Bashir M. (2009) Comparative Reliability Analysis between AMBA and Network-on-Chip: An MPEG-2 Case Study. In, 22nd International System-on-Chip Conference (SOCC), Belfast, Northern Ireland, , 247-250. (Submitted).

Shafik, Rishad Ahmed, Al-Hashimi, Bashir M., Kundu, Sandip and Ejlali, Alireja (2009) Soft error-aware voltage scaling technique for power minimization in application-specific MPSoC. Journal of Low Power Electronics, 5, (2), 145-156. (doi:10.1166/jolpe.2009.1016).

2008

Mamun, M. Shahriar, Hussain, Jamil, Haque, Md. Hasibul and Shafik, Rishad Ahmed (2008) Space Time Block Coded Multi-user CDMA Systems over Rayleigh Fading Channel. In, Annual IEEE Student Paper Conference (AISPC’08), Aalborg, Denmark,

Shafik, Rishad, Rosinger, Paul and Al-Hashimi, Bashir (2008) SystemC-based Minimum Intrusive Fault Injection Technique with Improved Fault Representation. In, International On-line Test Symposium (IOLTS), Rhodes, Greece, 07 – 09 Jul 2008. IEEE Computer Society, 99-104. (Submitted).

Shafik, Rishad Ahmed, Rosinger, Paul and Al-Hashimi, Bashir M. (2008) MPEG-based Performance Comparison between Network-on-Chip and AMBA MPSoC. In, 2008 IEEE Design and Diagnostics of Electronic Circuits and Systems, Bratislava, Slovakia, 16 – 18 Apr 2008. , 98-103.

Shafik, Rishad Ahmed, Rosinger, Paul and Al-Hashimi, Bashir M. (2008) SystemC-based Fault Injection Technique with Improved Fault Representation. At European Test Symposium (ETS), 25 – 28 May 2008.

2007

Imtiaz, Nafiz, Azim, Anwarul, Shafik, Rishad and , Muhammad (2007) A Novel Approach of Implementing an FFT Algorithm on OFDM System. In, IEEE ComSoC Wireless Communications, Networking and Mobile Computing (WiCom), 21 – 25 Sep 2007. , 57-60.

2006

Islam, A.H.M.R., Shafik, Rishad Ahmed and Ali, Shawkat (2006) Comparative Performance of Non-linear Distortion Effects in OFDM-RoF Link. In, IEEE-TENCON2006, Hong Kong, HK, 14 – 17 Nov 2006. IEEE.

Islam, A.H.M.R., Shafik, Rishad Ahmed, Hassan, Mohammad Imrul and Song, Ju Bin (2006) Next Generation Converged Connectivity Model for Rural Business Opportunities in Developing Countries. In, 2nd IEEE ComSoc Joint International Conference on Optical Internet and Next-generation Network (COIN-NGNCON 2006), Jeju Island, Korea, , 272-274.

Islam, A.H.M.R., Shafik, Rishad Ahmed, Hassan, Mohammad Imrul and Song, Ju Bin (2006) Next Generation Rural Wireless Connectivity Model For Developing Countries. In, 12th IEEE Asia Pacific Conference on Communications (APCC2006), Busan, Korea, 31 Aug – 01 Sep 2006.

Islam, A.H.M.R., Shafik, Rishad Ahmed, Rahman, Mohammad Shahriar and Song, Ju Bin (2006) On The Non-linear Distortion Effects in an OFDM-RoF Link. In, 2nd IEEE International Conference on Emerging Technologies (IEEE-ICET2006), Peshawar, Pakistan, 13 – 14 Nov 2006. , 20-26.

Islam, Abu Hena Razibul, Shafik, Rishad Ahmed and Song, J.B. (2006) ACPR Performance of OFDM Systems. Journal of Kyung-Hee Devan Design Centre, 14, (1), 61-65.

Shafik, Rishad Ahmed, Rahman, Mohammad Shahriar, Adnan, Nasib Ahmed and Islam, Mohammad Sajedul (2006) On the Lempel-Ziv-Welch Coded Speech Transmission and Markov Chain Detection. In, 2nd IEEE International Conference on Emerging Technologies (IEEE-ICET2006), Peshawar, Pakistan, 13 – 14 Nov 2006. , 183-187.

Shafik, Rishad Ahmed, Rahman, Mohammad Shahriar and Islam, AHM Razibul (2006) On the Extended Relationships Among EVM, BER and SNR as Performance Metrics. In, 4th International Conference on Electrical and Computer Engineering, Dhaka, Bangladesh, , 408-411.

Shafik, Rishad Ahmed, Rahman, Mohammad Shahriar, Islam, Abu Hena Mohhamad Razibul and Ashraf, Nabil Shovon (2006) On the error vector magnitude as a performance metric and comparative analysis. In, 2nd IEEE International Conference on Emerging Technologies (IEEE-ICET2006), Peshawar, Pakistan, 13 – 14 Nov 2006. , 27-31.

2005

Shafik, Rishad Ahmed (2005) Congestion Control of Ad Hoc Wireless LANs: A Control-theoretic paradigm to digital filter based solution. University of Southampton, School of Electronics and Computer Science, Masters Thesis .

Shafik, Rishad Ahmed (2005) Personal multimedia communications: simulations and analyses. , University of Southampton

Shafik, Rishad Ahmed, Weiss, Stephan and Rogers, E.T.A. (2005) A DSP-Based Approach to Congestion Control for Multihop Ad Hoc WLANs with BoD Access. In, 2nd IEE/EURASIP Conference on DSP Enabled Radio, University of Southampton, 19 – 20 Sep 2005. Institution of Electrical Engineers, London, 31/1-31/9.

2004

Shafik, Rishad Ahmed and Islam, Abu Hena Mohammad Razibul (2004) Comparative Study of Weiner-Hopf and LMS algorithm for Adaptive Noise Cancellation of Speech. IEB Journal of Electrical Engineering, 31, (1&2), 50-54.

Shafik, Rishad Ahmed and Yousaf-Zai, Fazli Qayyum (2004) A Novel Approach to Noisy Speech recognition using DTW algorithm with Mel-Frequency Cepstral Coefficients. Journal of Engineering and Technology (JET-IUT), 5, (2), 21-29.

Invited Talks and Lectures

  1. Shafik, R. (2021) Special Session Talk on Challenges and Opportunities in HW AI using the Tsetlin Machine, ISTM, 2022.
  2. Shafik, R. (2021) Seminar on Challenges and Opportunities in Logic Driven AI, Uni. Southampton, 2021.
  3. Shafik, R. (2021) Keynote on Challenges and Opportunities in Logic Driven AI using the Tsetln Machine, ISED, India, 2021.
  4. Shafik, R. (2021) Keynote on Energy-efficient and Explainable AI using Logic Driven ML Design at ICCTSAI, Qatar, 2021.
  5. Shafik, R. (2020) Keynote on Building a Logic Driven AI Ecosystem, IUT Bangladesh, 2020.
  6. Shafik, R. (2018) The Age of Real-Power Computing, Royal Society Interchange, MichiganTech, 2018.
  7. Shafik, R. (2017) Real-Power Computing, ARM Research Summit, Cambridge, 2017.
  8. Shafik, R. (2017) Adaptive Approximate Computing, UK Design Forum, Manchester, 2017.
  9. Shafik, R. (2016) Significance-driven Adaptive Approximate Computing Systems Design, ARM Technical Summit, 2016.
  10. Shafik, R. A. (2016) Approximate Computing for Big Data Applications, ARM Technical Summit, September, 2016.
  11. Shafik, R. A. (2016) Adaptive Approximate Computing for Big Data Applications, Edingburgh University, UK
  12. Shafik, R. A. (2016) To be significant or not to be significant, Ulster University, UK
  13. Shafik, R. A. (2016) Adapt or Perish: Significance-driven Adaptive Approximate Computing, UKDF, Manchester, UK
  14. Shafik, R. A. (2015) Challenges and Opportunites in Many-Core Runtime Management, VDAT Conference (VLSI-VDAT), India
  15. Shafik, R. A. (2014) Distributed Runtime Management for Many-Core Systems, CASA workshop (ESWEEK), India
  16. Shafik, R. A. (2014) Reinforcement Learning-based Runtime Management for Many-Core Systems, National Microelectronics Institute, UK
  17. Shafik, R. A. (2013) Runtime Management of Energy-efficient and Reliable Many-Core Systems, EACO workshop Uni. of Bristol, UK
  18. Shafik, R. A. (2011) Research Trends in Low Power and Reliable Design of Embedded Computing Systems, IUT, Bangladesh
  19. Shafik, R. A. (2006) OFDM-MIMO: Next Generation Frontier Technologies and Research Trends in Wireless Communications, BRAC University, Bangladesh
  20. Shafik, R. A. (2006) Next Generation Communication Techniques, IEEESB Seminar, Islamic University of Technology (IUT-OIC), Bangladesh
  21. Shafik, R. A. (2006) Simulations and Analyses of Personal Multimedia Systems, University of Southampton, United Kingdom

Back to HOME page.

Home | People | Publications | Research | News & Events | Contact